亿迅智能制造网
工业4.0先进制造技术信息网站!
首页 | 制造技术 | 制造设备 | 工业物联网 | 工业材料 | 设备保养维修 | 工业编程 |
home  MfgRobots >> 亿迅智能制造网 >  >> Industrial programming >> Verilog

JK 人字拖

设计

  
  
module jk_ff ( input j,
               input k,
               input clk,
               output q);

   reg q;

   always @ (posedge clk)
      case ({j,k})
         2'b00 :  q <= q;
         2'b01 :  q <= 0;
         2'b10 :  q <= 1;
         2'b11 :  q <= ~q;
      endcase
endmodule

  

硬件示意图

<无脚本>

测试台

  
  
module tb_jk;
   reg j;
   reg k;
   reg clk;
   
   always #5 clk = ~clk;
   
   jk_ff    jk0 ( .j(j),
                  .k(k),
                  .clk(clk),
                  .q(q));

   initial begin
      j <= 0;
      k <= 0;
      
      #5 j <= 0;
         k <= 1;
      #20 j <= 1;
          k <= 0;
      #20 j <= 1;
          k <= 1;
      #20 $finish;
   end

   initial
      $monitor ("j=%0d k=%0d q=%0d", j, k, q);
endmodule	

  

Verilog

  1. Verilog 简介
  2. Verilog 教程
  3. ASIC 设计流程
  4. 设计抽象层
  5. Verilog 语法
  6. Verilog 数据类型
  7. Verilog 标量和向量
  8. Verilog 数组和存储器
  9. Verilog T 触发器
  10. Verilog Mod-N 计数器
  11. Verilog 灰色计数器
  12. 拨动开关:以下是变频器的用途