亿迅智能制造网
工业4.0先进制造技术信息网站!
首页 | 制造技术 | 制造设备 | 工业物联网 | 工业材料 | 设备保养维修 | 工业编程 |
home  MfgRobots >> 亿迅智能制造网 >  >> Industrial programming >> VHDL

如何免费安装 VHDL 模拟器和编辑器

尽管 VHDL 和 FPGA 工具通常非常昂贵,但如果您是学生,则可以轻松免费访问最先进的软件。有几个模拟器、编辑器和 IDE 可用于使用 VHDL。本文将向您展示如何安装 VHDL 工程师最常用的两个程序。

VHDL 模拟器

Siemens EDA(前身为 Mentor Graphics)的 ModelSim 是目前最常见的 VHDL 仿真器,在您的第一个 VHDL 工作中,您可能必须使用 ModelSim 或程序的 QuestaSim 风格。使您的代码尽可能可移植是一种很好的设计实践,本博客中的代码也不例外。如果您可以访问另一个 VHDL 模拟器,请继续使用它;它应该类似地工作。

VHDL 模拟器的许可证非常昂贵,但幸运的是,有几个免费的合法版本的 ModelSim VHDL 模拟器可用。

点击此处查看适用于 Windows、Linux 的免费 VHDL 模拟器安装选项列表, 或 Mac

VHDL 编辑器

您可以直接在 ModelSim 的内置编辑器中编写代码,但最好使用外部编辑器。我将在我的教程系列中使用 Notepad++,这是 Windows 的一个很好的免费替代品。它还有一个用于语法高亮的 VHDL 插件。

以下是如何下载和安装编辑器和 VHDL 插件的截屏视频:

当你成功安装了 ModelSim 和 Notepad++,你就拥有了开始学习 VHDL 所需的一切!

在这里学习基本的 VHDL 教程>>

也许你在想:“硬件呢?我不需要FPGA开发板什么的吗?”。最终,你会想在真实的硬件上尝试你的代码,但为了学习 VHDL 的唯一目的,你只需要一个模拟器。


VHDL

  1. SRAM PUF 的基础知识以及如何为物联网安全部署它
  2. 如何在 VHDL 中创建字符串列表
  3. 如何为 VHDL 代码锁定模块创建 Tcl 驱动的测试平台
  4. 如何在 VHDL 中使用不纯函数
  5. 如何在 VHDL 中使用函数
  6. 如何在 VHDL 中使用过程
  7. 如何在 VHDL 中创建计时器
  8. 如何在 VHDL 中创建时钟进程
  9. 如何在 VHDL 中使用常量和通用映射
  10. 如何在 VHDL 中使用有符号和无符号
  11. 如何在 VHDL 中使用等待和等待直到
  12. 如何正确安装和更换鼓式制动器